summaryrefslogtreecommitdiffstats
path: root/drivers/cpuidle
diff options
context:
space:
mode:
authorThomas Zimmermann <tzimmermann@suse.de>2021-07-14 16:22:28 +0200
committerThomas Zimmermann <tzimmermann@suse.de>2021-08-08 20:13:10 +0200
commit147696720eca12ae48d020726208b9a61cdd80bc (patch)
tree1b0e456e6a96a11bd618f245ca49c0b18955fa80 /drivers/cpuidle
parent7d066dc73929d5b14501a47ae9cad4f49fe22abc (diff)
downloadlinux-147696720eca12ae48d020726208b9a61cdd80bc.tar.bz2
drm/mgag200: Select clock in PLL update functions
Put the clock-selection code into each of the PLL-update functions to make them select the correct pixel clock. Instead of copying the code, introduce a new helper WREG_MISC_MASKED, which does masked writes into <MISC>. Use it from each individual PLL update function. The pixel clock for video output was not actually set before programming the clock's values. It worked because the device had the correct clock pre-set. v2: * don't duplicate <MISC> update code (Sam) Signed-off-by: Thomas Zimmermann <tzimmermann@suse.de> Fixes: db05f8d3dc87 ("drm/mgag200: Split MISC register update into PLL selection, SYNC and I/O") Acked-by: Sam Ravnborg <sam@ravnborg.org> Cc: Sam Ravnborg <sam@ravnborg.org> Cc: Emil Velikov <emil.velikov@collabora.com> Cc: Dave Airlie <airlied@redhat.com> Cc: dri-devel@lists.freedesktop.org Cc: <stable@vger.kernel.org> # v5.9+ Link: https://patchwork.freedesktop.org/patch/msgid/20210714142240.21979-2-tzimmermann@suse.de
Diffstat (limited to 'drivers/cpuidle')
0 files changed, 0 insertions, 0 deletions