summaryrefslogtreecommitdiffstats
AgeCommit message (Expand)AuthorFilesLines
2017-06-14clk: keystone: Add sci-clk driver supportTero Kristo6-9/+744
2017-06-12Documentation: dt: Add TI SCI clock driverTero Kristo2-0/+38
2017-06-06clk: imx7d: Fix the DDR PLL enable bitFabio Estevam1-1/+1
2017-06-05clk: at91: fix clk-generated compilationAlexandre Belloni1-0/+1
2017-06-02clk: versatile: delete old RealView clock implementationLinus Walleij3-99/+0
2017-06-02clk: bcm2835: Minimise clock jitter for PCM clockPhil Elwell1-5/+29
2017-06-02clk: bcm2835: Limit PCM clock to OSC and PLLD_PERPhil Elwell1-1/+26
2017-06-02clk: bcm2835: Correct the prediv logicPhil Elwell1-1/+3
2017-06-02Merge branch 'clk-bulk-get' into clk-nextStephen Boyd4-1/+326
2017-06-02clk: add managed version of clk_bulk_getDong Aisheng2-0/+57
2017-06-02clk: add clk_bulk_get accessoriesDong Aisheng3-1/+269
2017-06-02clk: meson-gxbb: Add const to some parent name arraysStephen Boyd1-3/+3
2017-06-02Merge tag 'meson-clk-for-4.13' of git://github.com/BayLibre/clk-meson into cl...Stephen Boyd4-75/+82
2017-06-02clk: palmas: undo preparation of a clock source.Arvind Yadav1-0/+1
2017-06-02clk: Provide dummy of_clk_get_from_provider() for compile-testingGeert Uytterhoeven2-5/+4
2017-06-02Merge tag 'clk-renesas-for-v4.13-tag1' of git://git.kernel.org/pub/scm/linux/...Michael Turquette20-74/+1565
2017-06-01clk: Fix __set_clk_rates error print-stringBryan O'Donoghue1-1/+1
2017-06-01clk: scpi: fix return type of __scpi_dvfs_round_rateSudeep Holla1-3/+3
2017-06-01clk: qoriq: Separate root input clock for core PLLs on ls1012aScott Wood1-14/+77
2017-06-01dt-bindings: qoriq-clock: Add coreclkScott Wood1-0/+6
2017-06-01clk: at91: fix clk-generated parentingAlexandre Belloni1-2/+1
2017-06-01clk: imx7d: Fix the powerdown bit location of PLL DDRFabio Estevam3-1/+7
2017-06-01clk: mvebu: armada-38x: add support for 1866MHz variantsRalph Sennhauser1-3/+4
2017-06-01Merge branch 'clk-ap806' into clk-nextMichael Turquette2-45/+86
2017-06-01clk: mvebu: ap806: introduce a new bindingGregory CLEMENT1-12/+44
2017-06-01clk: mvebu: ap806: do not depend anymore of the *-clock-output-namesGregory CLEMENT1-22/+24
2017-06-01clk: mvebu: ap806: cosmetic improvementGregory CLEMENT1-7/+8
2017-06-01dt-bindings: ap806: introduce a new bindingGregory CLEMENT1-5/+15
2017-06-01dt-bindings: ap806: do not depend anymore of the *-clock-output-namesGregory CLEMENT1-4/+0
2017-05-29clk: meson-gxbb: Add EE 32K Clock for CECNeil Armstrong2-1/+58
2017-05-29clk: gxbb: remove CLK_IGNORE_UNUSED from clk81Jerome Brunet1-1/+1
2017-05-29clk: meson: meson8b: mark clk81 as criticalMartin Blumenstingl1-1/+1
2017-05-29clk: meson: gxbb: remove the "cpu_clk" from the GXBB and GXL driverMartin Blumenstingl2-62/+4
2017-05-29clk: meson-gxbb: un-export the CPU clockMartin Blumenstingl2-2/+1
2017-05-29clk: meson-gxbb: expose UART clocksHelmut Klein2-3/+6
2017-05-29clk: meson-gxbb: expose SPICC gateNeil Armstrong2-1/+2
2017-05-29clk: meson-gxbb: expose spdif master clockJerome Brunet2-2/+4
2017-05-29clk: meson-gxbb: expose i2s master clockJerome Brunet2-1/+2
2017-05-29clk: meson-gxbb: expose spdif clock gatesJerome Brunet2-2/+4
2017-05-25Merge tag 'meson-clk-fixes-for-4.12-rc2' of git://github.com/baylibre/clk-mes...Michael Turquette1-0/+1
2017-05-25clk: sunxi-ng: sun5i: Fix ahb_bist_clk definitionBoris Brezillon1-1/+1
2017-05-24clk: renesas: r8a7794: Add new CPG/MSSR driverGeert Uytterhoeven5-2/+266
2017-05-24clk: renesas: r8a7792: Add new CPG/MSSR driverGeert Uytterhoeven5-2/+232
2017-05-24clk: renesas: r8a7791/r8a7793: Add new CPG/MSSR driverGeert Uytterhoeven5-2/+302
2017-05-24clk: renesas: r8a7790: Add new CPG/MSSR driverGeert Uytterhoeven5-1/+298
2017-05-24clk: renesas: Add r8a7794 CPG Core Clock DefinitionsGeert Uytterhoeven1-0/+47
2017-05-24clk: renesas: Add r8a7793 CPG Core Clock DefinitionsGeert Uytterhoeven1-0/+48
2017-05-24clk: renesas: Add r8a7792 CPG Core Clock DefinitionsGeert Uytterhoeven1-0/+43
2017-05-24clk: renesas: Add r8a7791 CPG Core Clock DefinitionsGeert Uytterhoeven1-0/+48
2017-05-24clk: renesas: Add r8a7790 CPG Core Clock DefinitionsGeert Uytterhoeven1-0/+52