From 774448dc60d5b429436c2fc21f46ccd597f956ea Mon Sep 17 00:00:00 2001 From: Andreea-Cristina Bernat Date: Wed, 12 Mar 2014 23:00:04 +0200 Subject: staging: rtl8712: Delete space before semicolon This patch solves the warning: "space prohibited before semicolon". Signed-off-by: Andreea-Cristina Bernat Acked-by: Paul E. McKenney Signed-off-by: Peter P Waskiewicz Jr --- drivers/staging/rtl8712/osdep_service.h | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/drivers/staging/rtl8712/osdep_service.h b/drivers/staging/rtl8712/osdep_service.h index f1ccc7ebbda7..29946c4a777a 100644 --- a/drivers/staging/rtl8712/osdep_service.h +++ b/drivers/staging/rtl8712/osdep_service.h @@ -164,7 +164,7 @@ static inline void sleep_schedulable(int ms) delta = 1;/* 1 ms */ set_current_state(TASK_INTERRUPTIBLE); if (schedule_timeout(delta) != 0) - return ; + return; } static inline u8 *_malloc(u32 sz) -- cgit v1.2.3